ハードウェア

ROMライタが壊れてた。

自作CPUの開発を再開しようと思ったらROMライタが壊れてた。作り直さなきゃorz

CPUの起動プロセス

電源が入ってからCPUが動作し始めるまでの部分をよく理解していなかったので整理する。今作っているCPUでは 電源が入る ローダーが起動しROMの内容をRAMに読み込む CPUがRAMの内容を読み込み動作開始 みたいに起動をする。 んで、電源が入るという物理的プロ…

方針変更

最初は命令長を16ビットの固定長にしようと思っていたけど、1ワードのサイズは8ビットだから食い違いがあってメモリがかなり無駄になってしまう。 それで、Z80みたいに1ワードサイズは8ビットにして命令長を可変長にしようと思う。ということで、細かい内部…

SRAMを乗っける

ROMに書き込めるようになった事だし、そろそろ本格的にCPUを作り始めようと思う。 使えるCPLDは240セルなので8ビットCPUを作ってみようと思う。16ビットだとちょっと入りきらないらしい。んで、まずはSRAMを乗っけてみようと思う。 1命令あたり16ビットで作…

ROMライタ完成

http://ntus.net/j/i2clpt/index.htm を参考にして2線式シリアルEEPROMのROMライタを作った。一応データシートに合わせてSCLもプルアップするように変更しておいた。 家にあったものだけで作ったので製作費0円。 パラレルポートの配線は D0〜D1は適当なデー…

抵抗のカラーコード

メモ。 5線の場合 仮数|仮数|仮数|指数|誤差 (誤差側がちょっと太い)黒:0 茶:1 赤:2 橙:3 黄:4 緑:5 青:6 紫:7 灰:8 白:9誤差 銀:±10 金:±5 赤:±2

PICライタとROMライタ

PIC内部にはEEPROMが入っていて、PICライタはそのEEPROMにデータを書き込む装置。 ROMライタはEPROMやEEPROMなどにデータを書き込む装置。 じゃぁ、同じEEPROMに書き込むのにPICライタとROMライタは何が違うのか?とふと思った。何故かというとPICライタはROM…

 演算回路

1ビット変数A,Bの演算をする場合、 A B 演算結果 0 0 0 or 1 0 1 0 or 1 1 0 0 or 1 1 1 0 or 1 の4通りの入力に対してそれぞれ2通りずつの結果があるので2^4 = 16通りのパターンが存在する。 なのでこの演算回路は A, Bが1ビットずつ 16通りのいずれの演算…

CPUを作りたい。

昨日の本を読み終わった。最近本の消費速度が早くなってきたな。5つめの本棚を買わなければならなくなりそう。 つい2週間前の日記では全くもってアホな事ばかり言ってたけど、大分知識がついた。良いペースだ。最近自分の興味がどんどん低レベルな層へと移っ…

パラレルポート入出力クラス

C++を使いたかったのでIOクラスを書いてみた。まだ途中。 かなり適当だけど、前から使ってみたかったproxyクラスを実践できたので良しとしよう。 #ifndef MAD_PARPORTIO_HPP_ #define MAD_PARPORTIO_HPP_ #include <string> #include <stdexcept> #include <fcntl.h> #include <sys/ioctl.h> #include <linux/ppdev.h></linux/ppdev.h></sys/ioctl.h></fcntl.h></stdexcept></string>…

パラレルポート入出力

CPLDをパラレルポートから制御したいので、パラレルポートから入出力する方法を調べた。 まずパラレルポートのピン割り当てについて http://www.nahitech.com/nahitafu/mame/mame5/printer.html を参考に調べた。 D-Sub25pinのメスを正面から見て右上:1 左上…

符号拡張

符号拡張を定数加算に置き換えることが出来る。理解が中途半端なのでまとめてみた。4ビット整数を8ビット整数に符号拡張するには符号ビット(MSB)を上位に伝播させればいい。 0110 => 00000110 (6) 1010 => 11111010 (-6)符号ビットが1かどうかによって分岐す…

ディジタル数値演算回路の実用設計

ディジタル数値演算回路の実用設計―四則演算、初等超越関数、浮動小数点演算の作りかた (Design Wave Advanceシリーズ)作者: 鈴木昌治出版社/メーカー: CQ出版発売日: 2006/11/01メディア: 単行本購入: 2人 クリック: 26回この商品を含むブログ (10件) を見…

図書館で2冊借りてきたVHDLで学ぶディジタル回路設計―ディジタル回路の理論とVHDL設計の基礎を同時に学ぶ (Design Wave Books)作者: 吉田たけお,尾知博出版社/メーカー: CQ出版発売日: 2002/03メディア: 単行本 クリック: 5回この商品を含むブログ (3件) を…

ノイズ対策

トラ技5月号別冊付録を読んだ。 ノイズ対策には エミッション対策 放出されるノイズ(伝導ノイズと放射ノイズ)を減らす イミュニティ対策 侵入するノイズを減らす の2つがある。 放射ノイズの正体は電磁波であり、周波数が高いので小さな部品や配線などそこ…

演算回路を作ってみたい

トラ技の5月号にCPLDを使った整数電卓の作り方が載っていると知って買ってみたけど、CPLDを入出力の為に使い肝心の整数演算には外部のマイコンを使ってた。まぁ、雑誌の記事でALUの作り方を載せるのは無理があるか。しょうがないので、自分で作ってみようと…

ストップウォッチ

7セグメントLEDをつけてトラ技に収録されているストップウォッチの回路をそのまま書き込んでみた。 上手く撮れてないけど、いい感じで動いている。 これにスイッチとかつけて、明日は自分で回路を書いてみよう。

CPLD工作開始

久しぶりに秋月通商に行ってパーツを買ってきた。思ったより安い。 トラ技の付録に合わせたセットは売ってたけど、CPLDまで同梱なのしかなかったので仕方無く一つずつ買ってきた。現在の基板 はんだ付けなんて久しぶりだ。 回路完成 2時間以上もかかってしま…

ハードウェア構成法が面白すぎる

自分にとって未知の世界なのではまってしまいそう。毎回の授業が面白い。他の授業がかなり楽なので、少しハードウェアの勉強に時間が割けそうだから、がんばってみよう。 論理圧縮 昨日の授業でわからなくて赤っ恥をかいてしまったので、メモ 集合表記に直し…